Neurochirurgie minim invazivă
"Primum non nocere" este ideea ce a deschis drumul medicinei spre minim invaziv. Avansul tehnologic extraordinar din ultimele decenii a permis dezvoltarea tuturor domeniilor medicinei. Microscopul operator, neuronavigația, tehnicile anestezice avansate permit intervenții chirurgicale tot mai precise, tot mai sigure. Neurochirurgia minim invazivă, sau prin "gaura cheii", oferă pacienților posibilitatea de a se opera cu riscuri minime, fie ele neurologice, infecțioase, medicale sau estetice. www.neurohope.ro |
afisare reversibila
Last Updated: Apr 16 2014 16:28, Started by
kleines
, Apr 16 2014 16:28
·
0
#1
Posted 16 April 2014 - 16:28
Buna! Am facut un prog care afișează, folosind o cifra cu 7 segmente, codul numeric personal. As vrea sa incerc sa afisez si reversibil doar ca nu stiu cum.Ma puteti ajuta? Multumesc anticipat!
Aici este prog pe care l'am facut: module tema1_top( input clock, input reset, output [7:0] c, //semnale de control penntru segmente output [3:0]an //semnale de control pentru afisare ); wire [31:0] fir; assign an[0]=0; assign an[1]=1; assign an[2]=1; assign an[3]=1; segmente s( .adr(fir), .seg©); numarator n( .rst(reset), .clk(clock), .nr(fir)); endmodule module segmente( input [31:0]adr, output reg [7:0]seg ); wire [3:0]fir; assign fir[0]=adr[25]; assign fir[1]=adr[26]; assign fir[2]=adr[27]; assign fir[3]=adr[28]; always@(fir) begin case(fir) 0:seg=8'b10100100; 1:seg=8'b10010000; 2:seg=8'b10110000; 3:seg=8'b11000000; 4:seg=8'b10110000; 5:seg=8'b10100100; 6:seg=8'b10010000; 7:seg=8'b11111001; 8:seg=8'b10110000; 9:seg=8'b10100100; 10:seg=8'b10000000; 11:seg=8'b11000000; 12:seg=8'b10110000; default:seg=8'b01111111; endcase end endmodule module numarator( input rst, input clk, output reg [31:0]nr ); always @ (posedge clk) begin if (rst==1) nr <= 0; else nr <= nr +1; end endmodule net "an[0]" loc="F17"; net "an[1]" loc="H17"; net "an[2]" loc="C18"; net "an[3]" loc="F15"; net "clock" loc="B8"; net "reset" loc="H13"; net "c[0]" loc="L18"; net "c[1]" loc="F18"; net "c[2]" loc="D17"; net "c[3]" loc="D16"; net "c[4]" loc="G14"; net "c[5]" loc="J17"; net "c[6]" loc="H14"; net "c[7]" loc="C17"; |
Anunturi
▶ 0 user(s) are reading this topic
0 members, 0 guests, 0 anonymous users